cvs commit: src/lib/libc/stdlib getenv.c setenv.c

Joerg Sonnenberger joerg at crater.dragonflybsd.org
Thu Apr 28 06:52:59 PDT 2005


joerg       2005/04/28 06:51:55 PDT

DragonFly src repository

  Modified files:
    lib/libc/stdlib      getenv.c setenv.c 
  Log:
  Declare environ on file scope. Don't declare __findenv as inline,
  in C99 this means static too. GCC doesn't implement this correctly,
  but that's no excuse. Fix type issues. ANSIfy. Remove useless casts.
  
  Revision  Changes    Path
  1.5       +8 -10     src/lib/libc/stdlib/getenv.c
  1.5       +13 -17    src/lib/libc/stdlib/setenv.c


http://www.dragonflybsd.org/cvsweb/src/lib/libc/stdlib/getenv.c.diff?r1=1.4&r2=1.5&f=u
http://www.dragonflybsd.org/cvsweb/src/lib/libc/stdlib/setenv.c.diff?r1=1.4&r2=1.5&f=u





More information about the Commits mailing list